/*global type definitions*/ #ifndef PANEL_TYPES_H #define PANEL_TYPES_H typedef enum { PANEL_SPEED_SLOW, PANEL_SPEED_MEDIUM, PANEL_SPEED_FAST } PanelSpeed; #endif